Follow
Kwang-Ting (Tim) Cheng
Kwang-Ting (Tim) Cheng
Chair Professor of ECE and CSE, HKUST
Verified email at ust.hk - Homepage
Title
Cited by
Cited by
Year
Fast human detection using a cascade of histograms of oriented gradients
Q Zhu, MC Yeh, KT Cheng, S Avidan
2006 IEEE computer society conference on computer vision and pattern …, 2006
23552006
Bi-real net: Enhancing the performance of 1-bit cnns with improved representational capability and advanced training algorithm
Z Liu, B Wu, W Luo, X Yang, W Liu, KT Cheng
Proceedings of the European conference on computer vision (ECCV), 722-737, 2018
5692018
Delay fault testing for VLSI circuits
A Krstic, KTT Cheng
Springer Science & Business Media, 1998
4711998
A partial scan method for sequential circuits with feedback
KT Cheng, VD Agrawal
IEEE Transactions on Computers 39 (4), 544-548, 1990
4521990
Metapruning: Meta learning for automatic neural network channel pruning
Z Liu, H Mu, X Zhang, Z Guo, X Yang, KT Cheng, J Sun
Proceedings of the IEEE/CVF international conference on computer vision …, 2019
4342019
Automatic functional test generation using the extended finite state machine model
KT Cheng, AS Krishnakumar
Proceedings of the 30th International Design Automation Conference, 86-91, 1993
4231993
Biocompatible and totally disintegrable semiconducting polymer for ultrathin and ultralightweight transient electronics
T Lei, M Guan, J Liu, HC Lin, R Pfattner, L Shaw, AF McGuire, TC Huang, ...
Proceedings of the National Academy of Sciences 114 (20), 5107-5112, 2017
4042017
Joint segment-level and pixel-wise losses for deep learning based retinal vessel segmentation
Z Yan, X Yang, KT Cheng
IEEE Transactions on Biomedical Engineering 65 (9), 1912-1923, 2018
3902018
Electronic design automation: synthesis, verification, and test
LT Wang, YW Chang, KTT Cheng
Morgan Kaufmann, 2009
3422009
Reactnet: Towards precise binary neural network with generalized activation functions
Z Liu, Z Shen, M Savvides, KT Cheng
Computer Vision–ECCV 2020: 16th European Conference, Glasgow, UK, August 23 …, 2020
3092020
A three-stage deep learning model for accurate retinal vessel segmentation
Z Yan, X Yang, KT Cheng
IEEE journal of Biomedical and Health Informatics 23 (4), 1427-1436, 2018
3032018
Pseudo-CMOS: A design style for low-cost and robust flexible electronics
TC Huang, K Fukuda, CM Lo, YH Yeh, T Sekitani, T Someya, KT Cheng
IEEE Transactions on Electron Devices 58 (1), 141-150, 2010
2512010
Formal equivalence checking and design debugging
SY Huang, KTT Cheng
Springer Science & Business Media, 2012
2302012
A BIST scheme for on-chip ADC and DAC testing
JL Huang, CK Ong, KT Cheng
Proceedings of the conference on Design, automation and test in Europe, 216-220, 2000
2182000
Automatic generation of functional vectors using the extended finite state machine model
KT Cheng, AS Krishnakumar
ACM Transactions on Design Automation of Electronic Systems (TODAES) 1 (1 …, 1996
2181996
False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation
JJ Liou, A Krstic, LC Wang, KT Cheng
Proceedings of the 39th annual Design Automation Conference, 566-569, 2002
2152002
Classification and identification of nonrobust untestable path delay faults
KT Cheng, HC Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1996
2111996
Local difference binary for ultrafast and distinctive feature description
X Yang, KTT Cheng
IEEE transactions on pattern analysis and machine intelligence 36 (1), 188-194, 2013
2032013
Delay testing for non-robust untestable circuits
KT Cheng, HC Chen
Proceedings of IEEE International Test Conference-(ITC), 954-961, 1993
2011993
LDB: An ultra-fast feature for scalable augmented reality on mobile devices
X Yang, KT Cheng
2012 IEEE international symposium on mixed and augmented reality (ISMAR), 49-57, 2012
1922012
The system can't perform the operation now. Try again later.
Articles 1–20