Segueix
Karthik Sankaranarayanan
Títol
Citada per
Citada per
Any
Temperature-aware microarchitecture
K Skadron, MR Stan, W Huang, S Velusamy, K Sankaranarayanan, ...
30th Annual International Symposium on Computer Architecture, 2003 …, 2003
16662003
HotSpot: A compact thermal modeling methodology for early-stage VLSI design
W Huang, S Ghosh, S Velusamy, K Sankaranarayanan, K Skadron, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14 (5), 501-513, 2006
12792006
Temperature-aware microarchitecture: Modeling and implementation
K Skadron, MR Stan, K Sankaranarayanan, W Huang, S Velusamy, ...
ACM Transactions on Architecture and Code Optimization (TACO) 1 (1), 94-125, 2004
9532004
Compact thermal modeling for temperature-aware design
W Huang, MR Stan, K Skadron, K Sankaranarayanan, S Ghosh, ...
Proceedings of the 41st annual Design Automation Conference, 878-883, 2004
4562004
Hotleakage: A temperature-aware model of subthreshold and gate leakage for architects
Y Zhang, D Parikh, K Sankaranarayanan, K Skadron, M Stan
Technical Report CS-2003-05, University of Virginia, 2003
3702003
A case for thermal-aware floorplanning at the microarchitectural level
K Sankaranarayanan, S Velusamy, M Stan, K Skadron
Journal of Instruction-Level Parallelism 7 (1), 8-16, 2005
2622005
Temperature-aware computer systems: Opportunities and challenges
K Skadron, MR Stan, W Huang, S Velusamy, K Sankaranarayanan, ...
IEEE Micro 23 (6), 52-61, 2003
1572003
Accurate, pre-RTL temperature-aware design using a parameterized, geometric thermal model
W Huang, K Sankaranarayanan, K Skadron, RJ Ribando, MR Stan
IEEE Transactions on Computers 57 (9), 1277-1288, 2008
1532008
Hotspot: A dynamic compact thermal model at the processor-architecture level
MR Stan, K Skadron, M Barcella, W Huang, K Sankaranarayanan, ...
Microelectronics Journal 34 (12), 1153-1165, 2003
1502003
Many-core design from a thermal perspective
W Huang, MR Stan, K Sankaranarayanan, RJ Ribando, K Skadron
Design Automation Conference, 2008. DAC 2008. 45th ACM/IEEE, 746-749, 2008
1392008
An improved block-based thermal model in hotspot 4.0 with granularity considerations
W Huang, K Sankaranarayanan, RJ Ribando, MR Stan, K Skadron
Proc. Int. Cond. WDDD 7, 2007
832007
State-preserving vs. non-state-preserving leakage control in caches
Y Li, D Parikh, Y Zhang, K Sankaranarayanan, M Stan, K Skadron
Proceedings Design, Automation and Test in Europe Conference and Exhibition …, 2004
802004
HotSpot: Techniques for modeling thermal effects at the processor-architecture level
K Skadron, M Stan, M Barcella, A Dwarka, W Huang, Y Li, Y Ma, A Naidu, ...
International Workshop on THERMal INvestigations of ICs and Systems, 2002
492002
HotSpot: Thermal modeling for CMOS VLSI systems
W Huang, S Ghosh, K Sankaranarayanan, K Skadron, MR Stan
IEEE Transactions on Component Packaging and Manufacturing Technology, 200-205, 2005
412005
Adaptive cache decay using formal feedback control
S Velusamy, K Sankaranarayanan, D Parikh, T Abdelzaher, K Skadron
Proceedings of the 2002 Workshop on Memory Performance Issues, 2002
382002
Hotleakage: An architectural, temperature-aware model of subthreshold and gate leakage
Y Zhang, D Parikh, K Sankaranarayanan, K Skadron, MR Stan
Tech. Report CS-2003–05, 2003
312003
Hot Leakage: An Architectural, Temperature-Aware Model of Subthreshold and Gate Leakage
M Stan, Y Zhang, D Parikh, K Sankaranarayanan, K Skadron
University of Virginia, Department of Computer Science, 2003
312003
Comparison of State-Preserving vs. Non-State-Preserving Leakage Control in Caches
D Parikh, Y Zhang, K Sankaranarayanan, K Skadron, M Stan
Workshop on Duplicating, Deconstructing and Debunking 11, 2003
262003
Post-silicon CPU adaptation made practical using machine learning
SJ Tarsa, RBR Chowdhury, J Sebot, G Chinya, J Gaur, ...
Proceedings of the 46th International Symposium on Computer Architecture, 14-26, 2019
222019
Profile-based adaptation for cache decay
K Sankaranarayanan, K Skadron
ACM Transactions on Architecture and Code Optimization (TACO) 1 (3), 305-322, 2004
192004
En aquests moments el sistema no pot dur a terme l'operació. Torneu-ho a provar més tard.
Articles 1–20