Follow
Fei Xia
Title
Cited by
Cited by
Year
Power--aware performance adaptation of concurrent applications in heterogeneous many-core systems
A Aalsaud, R Shafik, A Rafiev, F Xia, S Yang, A Yakovlev
Proceedings of the 2016 International Symposium on Low Power Electronics and …, 2016
542016
Towards asynchronous AD conversion
DJ Kinniment, B Gao, AV Yakovlev, F Xia
Proceedings Fourth International Symposium on Advanced Research in …, 1998
441998
Hybrid wire‐surface wave interconnects for next‐generation networks‐on‐chip
AJ Karkar, JE Turner, K Tong, R AI‐Dujaily, T Mak, A Yakovlev, F Xia
IET Computers & Digital Techniques 7 (6), 294-303, 2013
392013
Real-time FPGA-based multichannel spike sorting using Hebbian eigenfilters
B Yu, T Mak, X Li, F Xia, A Yakovlev, Y Sun, CS Poon
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 1 (4 …, 2011
342011
Self-timed SRAM for energy harvesting systems
A Baz, D Shang, F Xia, A Yakovlev
Journal of low power electronics 7 (2), 274-284, 2011
342011
Asynchronous communication mechanisms using self-timed circuits
F Xia, A Yakovlev, D Shang, A Bystrov, A Koelmans, DJ Kinniment
Proceedings Sixth International Symposium on Advanced Research in …, 2000
312000
Asynchronous system synthesis based on direct mapping using VHDL and Petri nets
D Shang, F Burns, A Koelmans, A Yakovlev, F Xia
IEE Proceedings-Computers and Digital Techniques 151 (3), 209-220, 2004
292004
Embedded transitive closure network for runtime deadlock detection in networks-on-chip
T Mak, F Xia, A Yakovlev, M Palesi
IEEE Transactions on Parallel and Distributed Systems 23 (7), 1205-1215, 2011
272011
Low-cost online testing of asynchronous handshakes
D Shang, A Yakovlev, F Burns, F Xia, A Bystrov
Eleventh IEEE European Test Symposium (ETS'06), 225-232, 2006
272006
Data communication in systems with heterogeneous timing
F Xia, AV Yakovlev, IG Clark, D Shang
IEEE Micro 22 (6), 58-69, 2002
252002
Experiments with odroid-xu3 board
R Gensh, A Aalsaud, A Rafiev, F Xia, A Iliasov, A Romanovsky, ...
School of Computing Science Technical Report Series, 2015
232015
Run-time deadlock detection in networks-on-chip using coupled transitive closure networks
T Mak, F Xia, A Yakovlev, M Palesi
2011 Design, Automation & Test in Europe, 1-6, 2011
222011
Amdahl's law in the context of heterogeneous many‐core systems–a survey
MAN Al‐hayanni, F Xia, A Rafiev, A Romanovsky, R Shafik, A Yakovlev
IET Computers & Digital Techniques 14 (4), 133-148, 2020
212020
Wide-range, reference free, on-chip voltage sensor for variable Vdd operations
D Shang, F Xia, A Yakovlev
2013 IEEE International Symposium on Circuits and Systems (ISCAS), 37-40, 2013
212013
Modeling and tools for power supply variations analysis in networks-on-chip
NS Dahir, T Mak, F Xia, A Yakovlev
IEEE Transactions on Computers 63 (3), 679-690, 2012
212012
Variation tolerant AFPGA architecture
HS Low, D Shang, F Xia, A Yakovlev
2011 17th IEEE International Symposium on Asynchronous Circuits and Systems …, 2011
202011
Dynamic programming-based runtime thermal management (DPRTM) An online thermal control strategy for 3D-NoC systems
R Al-Dujaily, N Dahir, T Mak, F Xia, A Yakovlev
ACM Transactions on Design Automation of Electronic Systems (TODAES) 19 (1 …, 2013
182013
Modular approach to multi-resource arbiter design
S Golubcovs, D Shang, F Xia, A Mokhov, A Yakovlev
2009 15th IEEE Symposium on Asynchronous Circuits and Systems, 107-116, 2009
182009
Asynchronous circuit synthesis via direct translation
D Shang, F Xia, A Yakovlev
2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat …, 2002
182002
Synthesis and implementation of a signal-type asynchronous data communication mechanism
A Yakovlev, F Xia, D Shang
Proceedings Seventh International Symposium on Asynchronous Circuits and …, 2001
182001
The system can't perform the operation now. Try again later.
Articles 1–20