Segueix
Xinfei Guo
Títol
Citada per
Citada per
Any
Modeling and experimental demonstration of accelerated self-healing techniques
X Guo, W Burleson, M Stan
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
332014
Back to the future: Digital circuit design in the finfet era
X Guo, V Verma, P Gonzalez-Guerrero, S Mosanu, MR Stan
Journal of Low Power Electronics 13 (3), 338-355, 2017
292017
Work hard, sleep well-avoid irreversible ic wearout with proactive rejuvenation
X Guo, MR Stan
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 649-654, 2016
192016
SC-SD: Towards Low Power Stochastic Computing Using Sigma Delta Streams
P Gonzalez-Guerrero, X Guo, M Stan
2018 IEEE International Conference on Rebooting Computing (ICRC), 2018
162018
Reco-hcon: A high-throughput reconfigurable compact ascon processor for trusted iot
X Wei, M El-Hadedy, S Mosanu, Z Zhu, WM Hwu, X Guo
2022 IEEE 35th International System-on-Chip Conference (SOCC), 1-6, 2022
142022
ASC-FFT: Area-Efficient Low-Latency FFT Design Based on Asynchronous Stochastic Computing
P Gonzalez-Guerrero, X Guo, M Stan
2019 IEEE 10th Latin American Symposium on Circuits & Systems (LASCAS), 2019
142019
Circadian rhythms for future resilient electronic systems
X Guo, MR Stan
Springer International Publishing, 2020
132020
When" things" Get Older: Exploring Circuit Aging in IoT Applications
X Guo, V Verma, P Gonzalez-Guerrero, MR Stan
Quality Electronic Design (ISQED), 2018 19th International Symposium on, 2018
122018
Agile-aes: Implementation of configurable aes primitive with agile design approach
X Guo, M El-Hadedy, S Mosanu, X Wei, K Skadron, MR Stan
Integration 85, 87-96, 2022
102022
A multi-output on-chip switched-capacitor DC-DC converter for near-and sub-threshold power modes
Y Zhao, Y Yang, K Mazumdar, X Guo, MR Stan
2014 IEEE International Symposium on Circuits and Systems (ISCAS), 1632-1635, 2014
102014
MCPENS: Multiple-Critical-Path Embeddable NBTI Sensors for Dynamic Wearout Management
X Guo, MR Stan
11th Workshop on Silicon Errors in Logic–System Effects (SELSE-11), 116-121, 2015
72015
Towards on-node machine learning for ultra-low-power sensors using asynchronous σ δ streams
P Gonzalez-Guerrero, T Tracy II, X Guo, R Sreekumar, M Lenjani, ...
ACM Journal on Emerging Technologies in Computing Systems (JETC) 16 (4), 1-20, 2020
62020
Flexi-AES: A highly-parameterizable cipher for a wide range of design constraints
S Mosanu, X Guo, M El-Hadedy, L Anghel, M Stan
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom …, 2019
62019
Deep Healing: Ease the BTI and EM Wearout Crisis by Activating Recovery
X Guo, M Stan
Dependable Systems and Networks Workshop (DSN-W), 2017 47th Annual IEEE/IFIP …, 2017
62017
Implications of accelerated self-healing as a key design knob for cross-layer resilience
X Guo, MR Stan
Integration, the VLSI Journal 56, 167-180, 2017
62017
From 2.5 D to 3D chiplet systems: Investigation of thermal implications with HotSpot 7.0
JH Han, X Guo, K Skadron, MR Stan
2022 21st IEEE Intersociety Conference on Thermal and Thermomechanical …, 2022
42022
Circuit techniques for BTI and EM accelerated and active recovery
X Guo, MR Stan, X Guo, MR Stan
Circadian Rhythms for Future Resilient Electronic Systems: Accelerated …, 2020
42020
Design and aging challenges in FinFET circuits and internet of things (IoT) applications
X Guo, MR Stan, X Guo, MR Stan
Circadian Rhythms for Future Resilient Electronic Systems: Accelerated …, 2020
42020
OldSpot: A pre-RTL model for fine-grained aging and lifetime optimization
A Roelke, X Guo, M Stan
2018 IEEE 36th International Conference on Computer Design (ICCD), 148-151, 2018
42018
A Low Voltage RISC-V Heterogeneous System
S Eldridge, K Swaminathan, N Chandramoorthy, A Buyuktosunoglu, ...
First Workshop on Computer Architecture Research with RISC-V (CARRV), 2017
42017
En aquests moments el sistema no pot dur a terme l'operació. Torneu-ho a provar més tard.
Articles 1–20