Seguir
Po-An Tsai
Po-An Tsai
Sr. Research Scientist, NVIDIA Research
Dirección de correo verificada de nvidia.com - Página principal
Título
Citado por
Citado por
Año
KPart: A hybrid cache partitioning-sharing technique for commodity multicores
N El-Sayed, A Mukkara, PA Tsai, H Kasture, X Ma, D Sanchez
2018 IEEE International Symposium on High Performance Computer Architecture …, 2018
1452018
Mind mappings: enabling efficient algorithm-accelerator mapping space search
K Hegde, PA Tsai, S Huang, V Chandra, A Parashar, CW Fletcher
Proceedings of the 26th ACM International Conference on Architectural …, 2021
822021
Jenga: Software-Defined Cache Hierarchies
PA Tsai, N Beckmann, D Sanchez
Proceedings of the 44th Annual International Symposium on Computer …, 2017
772017
Scaling distributed cache hierarchies through computation and data co-scheduling
N Beckmann, PA Tsai, D Sanchez
2015 IEEE 21st International Symposium on High Performance Computer …, 2015
492015
Adaptive Scheduling for Systems with Asymmetric Memory Hierarchies
PA Tsai, C Chen, D Sanchez
Proceedings of the 51st annual IEEE/ACM international symposium on …, 2018
482018
Sparseloop: An Analytical Approach To Sparse Tensor Accelerator Modeling
YN Wu, PA Tsai, A Parashar, V Sze, JS Emer
Proceedings of the 55th annual IEEE/ACM international symposium on …, 2022
352022
Compress Objects, Not Cache Lines: An Object-Based Compressed Memory Hierarchy
PA Tsai, D Sanchez
Proceedings of 2019 Architectural Support for Programming Languages and …, 2019
302019
Sparseloop: An analytical, energy-focused design space exploration methodology for sparse tensor accelerators
YN Wu, PA Tsai, A Parashar, V Sze, JS Emer
2021 IEEE International Symposium on Performance Analysis of Systems and …, 2021
262021
Rethinking the Memory Hierarchy for Modern Languages
PA Tsai, YL Gan, D Sanchez
Proceedings of the 51st annual IEEE/ACM international symposium on …, 2018
262018
Safecracker: Leaking Secrets through Compressed Caches
PA Tsai, A Sanchez, CW Fletcher, D Sanchez
Proceedings of 2020 Architectural Support for Programming Languages and …, 2020
242020
Resource based virtual computing instance scheduling
PA TSAI, S Gamage, R GRIFFITH
US Patent App. 15/283,274, 2018
212018
Path-diversity-aware adaptive routing in network-on-chip systems
YH Kuo, PA Tsai, HP Ho, EJ Chang, HK Hsin, AY Wu
2012 IEEE 6th International Symposium on Embedded Multicore SoCs, 175-182, 2012
192012
Nexus: A New Approach to Replication in Distributed Shared Caches
PA Tsai, N Beckmann, D Sanchez
Proceedings of the 26th international conference on Parallel Architectures …, 2017
172017
Union: A unified HW-SW co-design ecosystem in MLIR for evaluating tensor operations on spatial accelerators
G Jeong, G Kestor, P Chatarasi, A Parashar, PA Tsai, S Rajamanickam, ...
2021 30th International Conference on Parallel Architectures and Compilation …, 2021
132021
Hybrid path-diversity-aware adaptive routing with latency prediction model in Network-on-Chip systems
PA Tsai, YH Kuo, EJ Chang, HK Hsin, AY Wu
2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT), 1-4, 2013
132013
Accelerating sparse data orchestration via dynamic reflexive tiling
TO Odemuyiwa, H Asghari-Moghaddam, M Pellauer, K Hegde, PA Tsai, ...
Proceedings of the 28th ACM International Conference on Architectural …, 2023
92023
Demystifying map space exploration for NPUs
SC Kao, A Parashar, PA Tsai, T Krishna
2022 IEEE International Symposium on Workload Characterization (IISWC), 269-281, 2022
92022
SIMD : A Generalized Matrix Instruction Set for Accelerating Tensor Computation beyond GEMM
Y Zhang, PA Tsai, HW Tseng
Proceedings of the 49th Annual International Symposium on Computer Architecture, 2022
92022
Hardware abstractions for targeting EDDO Architectures with the Polyhedral Model
A Parashar, P Chatarasi, PA Tsai
11th International Workshop on Polyhedral Compilation Techniques (Vitural …, 2021
62021
Ruby: Improving hardware efficiency for tensor algebra accelerators through imperfect factorization
M Horeni, P Taheri, PA Tsai, A Parashar, J Emer, S Joshi
2022 IEEE International Symposium on Performance Analysis of Systems and …, 2022
52022
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20