Seguir
Fabio Somenzi
Fabio Somenzi
Dirección de correo verificada de colorado.edu
Título
Citado por
Citado por
Año
Algebric decision diagrams and their applications
RI Bahar, EA Frohm, CM Gaona, GD Hachtel, E Macii, A Pardo, ...
Formal methods in system design 10, 171-206, 1997
11831997
VIS: A system for verification and synthesis
RK Brayton, GD Hachtel, A Sangiovanni-Vincentelli, F Somenzi, A Aziz, ...
Computer Aided Verification: 8th International Conference, CAV'96 New …, 1996
9661996
CUDD: CU decision diagram package release 2.3. 0
F Somenzi
University of Colorado at Boulder 621, 1998
829*1998
Logic synthesis and verification algorithms
GD Hachtel, F Somenzi
Springer Science & Business Media, 2005
7912005
Efficient Büchi automata from LTL formulae
F Somenzi, R Bloem
Computer Aided Verification: 12th International Conference, CAV 2000 …, 2000
5002000
CUDD: CU decision diagram package
F Somenzi
Public Software, University of Colorado, 1997
4881997
High-level power modeling, estimation, and optimization
E Macii, M Pedram, F Somenzi
Proceedings of the 34th annual Design Automation Conference, 504-511, 1997
4341997
Who are the variables in your neighbourhood
S Panda, F Somenzi
Proceedings of IEEE International Conference on Computer Aided Design (ICCAD …, 1995
2771995
High-density reachability analysis
K Ravi, F Somenzi
Proceedings of IEEE International Conference on Computer Aided Design (ICCAD …, 1995
2301995
Symmetry detection and dynamic variable ordering of decision diagrams
S Panda, F Somenzi, BF Plessier
ICCAD, 628-631, 1994
2221994
Markovian analysis of large finite state machines
GD Hachtel, E Macii, A Pardo, F Somenzi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1996
1991996
Minimal assignments for bounded model checking
K Ravi, F Somenzi
International Conference on Tools and Algorithms for the Construction and …, 2004
1662004
Redundancy identification/removal and test generation for sequential circuits using implicit state enumeration
H Cho, GD Hachtel, F Somenzi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1993
1531993
ATPG aspects of FSM verification
G Hachtel, H Cho, SW Jeong, B Plessier, E Schwarz, F Somenzi
Proceeding of the International Conference on Computer-Aided Design, 134-137, 1990
1521990
Omega-regular objectives in model-free reinforcement learning
EM Hahn, M Perez, S Schewe, F Somenzi, A Trivedi, D Wojtczak
International conference on tools and algorithms for the construction and …, 2019
1512019
An exact minimizer for Boolean relations
RK Brayton, F Somenzi
1989 IEEE International Conference on Computer-Aided Design, 316,317,318,319 …, 1989
1381989
Binary decision diagrams
F Somenzi
NATO ASI SERIES F COMPUTER AND SYSTEMS SCIENCES 173, 303-368, 1999
1351999
Algorithms for approximate FSM traversal
H Cho, GD Hachtel, E Macii, B Plessier, F Somenzi
Proceedings of the 30th international Design Automation Conference, 25-30, 1993
1331993
Re-encoding sequential circuits to reduce power dissipation
GD Hachtel, M Hermida, A Pardo, M Poncino, F Somenzi
IEEE/ACM International Conference on Computer-Aided Design, 70, 71, 72, 73 …, 1994
1261994
A performance study of BDD-based model checking
B Yang, RE Bryant, DR O’Hallaron, A Biere, O Coudert, G Janssen, ...
Formal Methods in Computer-Aided Design: Second International Conference …, 1998
1231998
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20