Follow
Naofumi Homma
Naofumi Homma
Verified email at riec.tohoku.ac.jp
Title
Cited by
Cited by
Year
Systematic design of RSA processors based on high-radix Montgomery multipliers
A Miyamoto, N Homma, T Aoki, A Satoh
IEEE Transactions on very large scale integration (VLSI) Systems 19 (7 …, 2010
1092010
Collision-based power analysis of modular exponentiation using chosen-message pairs
N Homma, A Miyamoto, T Aoki, A Satoh, A Shamir
Cryptographic Hardware and Embedded Systems–CHES 2008: 10th International …, 2008
1002008
An on-chip glitchy-clock generator for testing fault injection attacks
S Endo, T Sugawara, N Homma, T Aoki, A Satoh
Journal of Cryptographic Engineering 1, 265-270, 2011
952011
High-resolution side-channel attack using phase-based waveform matching
N Homma, S Nagashima, Y Imai, T Aoki, A Satoh
Cryptographic Hardware and Embedded Systems-CHES 2006: 8th International …, 2006
912006
A threat for tablet pcs in public space: Remote visualization of screen images using em emanation
Y Hayashi, N Homma, M Miura, T Aoki, H Sone
Proceedings of the 2014 ACM SIGSAC Conference on Computer and Communications …, 2014
902014
High-performance concurrent error detection scheme for AES hardware
A Satoh, T Sugawara, N Homma, T Aoki
Cryptographic Hardware and Embedded Systems–CHES 2008: 10th International …, 2008
882008
Analysis of electromagnetic information leakage from cryptographic devices with different physical structures
YI Hayashi, N Homma, T Mizuki, T Aoki, H Sone, L Sauvage, JL Danger
IEEE Transactions on Electromagnetic Compatibility 55 (3), 571-580, 2012
842012
Curse of re-encryption: a generic power/EM analysis on post-quantum KEMs
R Ueno, K Xagawa, Y Tanaka, A Ito, J Takahashi, N Homma
IACR Transactions on Cryptographic Hardware and Embedded Systems, 296-322, 2022
782022
Fair and consistent hardware evaluation of fourteen round two SHA-3 candidates
M Knezevic, K Kobayashi, J Ikegami, S Matsuo, A Satoh, Ü Kocabas, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20 (5), 827-840, 2011
742011
Comparative power analysis of modular exponentiation algorithms
N Homma, A Miyamoto, T Aoki, A Satoh, A Samir
IEEE Transactions on Computers 59 (6), 795-807, 2009
722009
Em attack is non-invasive?-design methodology and validity verification of em attack sensor
N Homma, Y Hayashi, N Miura, D Fujimoto, D Tanaka, M Nagata, T Aoki
Cryptographic Hardware and Embedded Systems–CHES 2014: 16th International …, 2014
632014
Non-invasive EMI-based fault injection attack against cryptographic modules
Y Hayashi, N Homma, T Sugawara, T Mizuki, T Aoki, H Sone
2011 IEEE International Symposium on Electromagnetic Compatibility, 763-767, 2011
482011
Introduction to the special section on electromagnetic information security
YI Hayashi, N Homma, T Watanabe, WO Price, WA Radasky
IEEE Transactions on Electromagnetic Compatibility 55 (3), 539-546, 2013
472013
Transient IEMI threats for cryptographic devices
Y Hayashi, N Homma, T Mizuki, T Aoki, H Sone
IEEE transactions on Electromagnetic Compatibility 55 (1), 140-148, 2012
442012
Formal design of arithmetic circuits based on arithmetic description language
N Homma, Y Watanabe, T Aoki, T Higuchi
IEICE transactions on fundamentals of electronics, communications and …, 2006
442006
A local EM-analysis attack resistant cryptographic engine with fully-digital oscillator-based tamper-access sensor
N Miura, D Fujimoto, D Tanaka, Y Hayashi, N Homma, T Aoki, M Nagata
2014 symposium on VLSI circuits digest of technical papers, 1-2, 2014
432014
Highly Efficient Inversion Circuit Based on Redundant GF Arithmetic and Its Application to AES Design
R Ueno, N Homma, Y Sugawara, Y Nogami, T Aoki
Cryptographic Hardware and Embedded Systems--CHES 2015: 17th International …, 2015
422015
Efficient evaluation of EM radiation associated with information leakage from cryptographic devices
Y Hayashi, N Homma, T Mizuki, H Shimada, T Aoki, H Sone, L Sauvage, ...
IEEE Transactions on Electromagnetic Compatibility 55 (3), 555-563, 2012
422012
Biasing power traces to improve correlation power analysis attacks
Y Kim, T Sugawara, N Homma, T Aoki, A Satoh
First international workshop on constructive side-channel analysis and …, 2010
422010
Toward more efficient DPA-resistant AES hardware architecture based on threshold implementation
R Ueno, N Homma, T Aoki
International Workshop on Constructive Side-Channel Analysis and Secure …, 2017
402017
The system can't perform the operation now. Try again later.
Articles 1–20