Seguir
Vittorio Zaccaria
Vittorio Zaccaria
Associate Professor, Politecnico di Milano
Dirección de correo verificada de polimi.it - Página principal
Título
Citado por
Citado por
Año
ReSPIR: a response surface-based Pareto iterative refinement for application-specific design space exploration
G Palermo, C Silvano, V Zaccaria
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2009
1742009
AES power attack based on induced cache miss and countermeasure
G Bertoni, V Zaccaria, L Breveglieri, M Monchiero, G Palermo
Information Technology: Coding and Computing, 2005. ITCC 2005. International …, 2005
1642005
A power modeling and estimation framework for VLIW-based embedded systems
L Benini, D Bruni, M Chinosi, C Silvano, V Zaccaria, R Zafalon
Proc. Int. Workshop on Power And Timing Modeling, Optimization and …, 2001
1192001
Multi-objective design space exploration of embedded systems
G Palermo, C Silvano, V Zaccaria
Journal of Embedded Computing 1 (3), 305-316, 2005
1142005
The MULTICUBE Design Flow
C Silvano, W Fornaciari, G Palermo, V Zaccaria, F Castro, M Martinez, ...
Multi-objective Design Space Exploration of Multiprocessor SoC Architectures …, 2011
113*2011
MULTICUBE: Multi-objective design space exploration of multi-core architectures
C Silvano, W Fornaciari, G Palermo, V Zaccaria, F Castro, M Martinez, ...
VLSI 2010 Annual Symposium, 47-63, 2011
1122011
System level power modeling and simulation of high-end industrial network-on-chip
A Bona, V Zaccaria, R Zafalon
Ultra Low-Power Electronics and Design, 233-254, 2004
912004
An instruction-level energy model for embedded VLIW architectures
M Sami, D Sciuto, C Silvano, V Zaccaria
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2002
802002
An industrial design space exploration framework for supporting run-time resource management on multi-core systems
G Mariani, P Avasare, G Vanmeerbeeck, C Ykman-Couvreur, G Palermo, ...
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2010 …, 2010
792010
Energy estimation and optimization of embedded VLIW processors based on instruction clustering
A Bona, M Sami, D Sciuto, C Silvano, V Zaccaria, R Zafalon
Design Automation Conference, 2002. Proceedings. 39th, 886-891, 2002
702002
A design framework to efficiently explore energy-delay tradeoffs
W Fornaciari, D Sciuto, C Silvano, V Zaccaria
Proceedings of the ninth international symposium on Hardware/software …, 2001
702001
Linking run-time resource management of embedded multi-core platforms with automated design-time exploration
C Ykman-Couvreur, P Avasare, G Mariani, G Palermo, C Silvano, ...
Computers & Digital Techniques, IET 5 (2), 123-135, 2011
672011
Linking run-time resource management of embedded multi-core platforms with automated design-time exploration
CY Couvreur, A Prabhat, M Giovanni, G Palermo, C Silvano, V Zaccaria
672011
Instruction-level power estimation for embedded VLIW cores
M Sami, D Sciuto, C Silvano, V Zaccaria
Hardware/Software Codesign, 2000. CODES 2000. Proceedings of the Eighth …, 2000
662000
Instruction-Level Power Estimation for Embedded VLIW Cores
MSDSC Silvano, V Zaccaria
Proceedings of the Eighth International Workshop on Hardware/Software …, 2000
66*2000
A correlation-based design space exploration methodology for multi-processor systems-on-chip
G Mariani, A Brankovic, G Palermo, J Jovic, V Zaccaria, C Silvano
Proceedings of the 47th Design Automation Conference, 120-125, 2010
652010
How might the iPad change healthcare?
S Marceglia, S Bonacina, V Zaccaria, C Pagliari, F Pinciroli
Journal of the Royal Society of Medicine 105 (6), 233-241, 2012
642012
A sensitivity-based design space exploration methodology for embedded systems
W Fornaciari, D Sciuto, C Silvano, V Zaccaria
Design Automation for Embedded Systems 7 (1), 7-33, 2002
632002
SPIRIT: Spectral-Aware pareto iterative refinement optimization for supervised high-level synthesis
S Xydis, G Palermo, V Zaccaria, C Silvano
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
622014
Discrete particle swarm optimization for multi-objective design space exploration
G Palermo, C Silvano, V Zaccaria
Digital System Design Architectures, Methods and Tools, 2008. DSD'08. 11th …, 2008
602008
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20