Follow
Jian Chen
Jian Chen
Alibaba Group
Verified email at utexas.edu - Homepage
Title
Cited by
Cited by
Year
Efficient program scheduling for heterogeneous multi-core processors
J Chen, LK John
Proceedings of the 46th Annual Design Automation Conference, 927-930, 2009
1712009
Modeling program resource demand using inherent program characteristics
J Chen, LK John, D Kaseridis
ACM SIGMETRICS Performance Evaluation Review 39 (1), 1-12, 2011
632011
Predictive coordination of multiple on-chip resources for chip multiprocessors
J Chen, LK John
Proceedings of the international conference on Supercomputing, 192-201, 2011
632011
A bandwidth-aware memory-subsystem resource management using non-invasive resource profilers for large cmp systems
D Kaseridis, J Stuecheli, J Chen, LK John
HPCA-16 2010 The Sixteenth International Symposium on High-Performance …, 2010
442010
Energy-aware application scheduling on a heterogeneous multi-core system
J Chen, LK John
2008 IEEE International Symposium on Workload Characterization, 5-13, 2008
352008
Unified memory organization for neural network processors
L Han, X Jiang, J Chen
US Patent 11,436,143, 2022
172022
Critical-Path Candidates: Scalable Performance Modeling for MPI Workloads
J Chen, R Clapp
2015 IEEE International Symposium on Performance Analysis of Systems and …, 2015
152015
Tfe: Energy-efficient transferred filter-based engine to compress and accelerate convolutional neural networks
H Mo, L Liu, W Hu, W Zhu, Q Li, A Li, S Yin, J Chen, X Jiang, S Wei
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
142020
Tss: Applying two-stage sampling in micro-architecture simulations
Z Yu, H Jin, J Chen, LK John
2009 IEEE International Symposium on Modeling, Analysis & Simulation of …, 2009
112009
Low power set-associative cache with single-cycle partial tag comparison
J Chen, R Peng, Y Fu
2005 6th International Conference on ASIC 1, 73-77, 2005
112005
Fidas: Fortifying the cloud via comprehensive FPGA-based offloading for intrusion detection: Industrial product
J Chen, X Zhang, T Wang, Y Zhang, T Chen, J Chen, M Xie, Q Liu
Proceedings of the 49th Annual International Symposium on Computer …, 2022
102022
Libra: Clearing the cloud through dynamic memory bandwidth management
Y Zhang, J Chen, X Jiang, Q Liu, IM Steiner, AJ Herdrich, K Shu, R Das, ...
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
102021
Predictive Heterogeneity-Aware Application Scheduling for Chip Multiprocessors
J Chen, A Nair, L John
IEEE Transactions on Computers 63 (2), 435-446, 2014
102014
Architecture design of a high-performance 32-bit fixed-point DSP
J Chen, R Xu, Y Fu
Advances in Computer Systems Architecture: 9th Asia-Pacific Conference …, 2004
102004
Astro: Auto-generation of synthetic traces using scaling pattern recognition for MPI workloads
J Chen, RM Clapp
IEEE Transactions on Parallel and Distributed Systems 28 (8), 2159-2171, 2017
92017
CantorSim: Simplifying acceleration of micro-architecture simulations
Z Yu, H Jin, J Chen, LK John
2010 IEEE International Symposium on Modeling, Analysis and Simulation of …, 2010
82010
An elastic task scheduling scheme on coarse-grained reconfigurable architectures
L Chen, J Zhu, Y Deng, Z Li, J Chen, X Jiang, S Yin, S Wei, L Liu
IEEE Transactions on Parallel and Distributed Systems 32 (12), 3066-3080, 2021
72021
CARE: Coordinated augmentation for elastic resilience on DRAM errors in data centers
J Chen, X Jiang, Y Zhang, L Liu, H Xu, Q Liu
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
72021
Memory apparatus and method for controlling the same
L Han, X Jiang, J Chen
US Patent 10,761,851, 2020
62020
Mechanistic Modeling of Architectural Vulnerability Factor
L Nair, Arun Arvind and Eyerman, Stijn and Chen, Jian and John, Lizy Kurian ...
ACM Trans. Comput. Syst. 32 (4), 1-32, 2015
6*2015
The system can't perform the operation now. Try again later.
Articles 1–20