Seguir
Kuen-Yu Tsai
Título
Citado por
Citado por
Año
Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects
PCW Ng, 3 Yen-Min, 4 Fu-Min, AC Chen
Journal of Micro/Nanolithography, MEMS and MOEMS 10 (1), 013004-013004-13, 2011
402011
Servo system design of a high-resolution piezo-driven fine stage for step-and-repeat microlithography systems
KY Tsai, JY Yen
IECON'99. Conference Proceedings. 25th Annual Conference of the IEEE …, 1999
311999
Modeling the defect inspection sensitivity of a confocal microscope
EM Gullikson, E Tejnil, KY Tsai, AR Stivers, H Kusunose
Emerging Lithographic Technologies IX 5751, 1223-1229, 2005
192005
Method for improving accuracy of parasitics extraction considering sub-wavelength lithography effects
KY Tsai, WJ Hsieh, BS Chang
US Patent 8,438,505, 2013
182013
Impacts of point spread function accuracy on patterning prediction and proximity effect correction in low-voltage electron-beam–direct-write lithography
CH Liu, PCW Ng, YT Shen, SW Chien, KY Tsai
Journal of Vacuum Science & Technology B 31 (2), 2013
182013
Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness
PG Reddy, N Thakur, CL Lee, SW Chien, CP Pradeep, S Ghosh, KY Tsai, ...
AIP Advances 7 (8), 2017
152017
Architecture for next-generation massively parallel maskless lithography system (MPML2)
MS Su, KY Tsai, YC Lu, YH Kuo, TH Pei, JY Yen
Alternative Lithographic Technologies II 7637, 394-401, 2010
152010
A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects
KY Tsai, MF You, YC Lu, PCW Ng
2008 IEEE/ACM International Conference on Computer-Aided Design, 286-291, 2008
152008
Design of automatic controllers for model-based OPC with optimal resist threshold determination for improving correction convergence
YS Su, PCW Ng, KY Tsai, YY Chen
Optical Microlithography XXI 6924, 1357-1365, 2008
152008
DQIT:/spl mu/-synthesis without D-scale fitting
KY Tsai, HA Hindi
IEEE transactions on automatic control 49 (11), 2028-2032, 2004
142004
Design of feedforward filters for improving tracking performances of existing feedback control systems
KY Tsai, CD Schaper, T Kailath
Proceedings of the 2002 American Control Conference (IEEE Cat. No. CH37301 …, 2002
142002
Method for calibrating a manufacturing process model
KY Tsai, ACH Chen, JH Li
US Patent 9,541,500, 2017
112017
New parametric point spread function calibration methodology for improving the accuracy of patterning prediction in electron-beam lithography
CH Liu, HT Ng, KY Tsai
Journal of Micro/Nanolithography, MEMS, and MOEMS 11 (1), 013009-013009, 2012
112012
Manufacturability Analysis of a Micro-Electro-Mechanical Systems–Based Electron-Optical System Design for Direct-Write Lithography
SY Chen, SC Chen, HH Chen, KY Tsai, HH Pan
Japanese Journal of Applied Physics 49 (6S), 06GE05, 2010
112010
Impacts of optical proximity correction settings on electrical performances
MF You, PCW Ng, YS Su, KY Tsai, YC Lu
Design for Manufacturability through Design-Process Integration 6521, 287-293, 2007
112007
Model-based proximity effect correction for electron-beam direct-write lithography
CH Liu, PL Tien, PCW Ng, YT Shen, KY Tsai
Alternative Lithographic Technologies II 7637, 430-437, 2010
102010
A novel curve-fitting procedure for determining proximity effect parameters in electron beam lithography
CH Liu, HT Ng, PCW Ng, KY Tsai, SJ Lin, JH Chen
Lithography Asia 2008 7140, 367-376, 2008
102008
Method for design of multi-objective robust controllers
KY Tsai, H Hindi
US Patent App. 10/709,458, 2004
102004
Method for non-resist nanolithography
MJ Chen, KY Tsai, CW Liu
US Patent 9,972,702, 2018
92018
Determining proximity effect parameters for non-rectangular semiconductor structures
KY Tsai, MF You, YC Lu
US Patent 9,087,173, 2015
92015
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20