Follow
Magdy Bayoumi
Magdy Bayoumi
Professor of Computer Engineering, University of Louisiana
No verified email
Title
Cited by
Cited by
Year
Performance analysis of low-power 1-bit CMOS full adder cells
AM Shams, TK Darwish, MA Bayoumi
IEEE transactions on very large scale integration (VLSI) systems 10 (1), 20-29, 2002
7092002
Design of robust, energy-efficient full adders for deep-submicrometer design using hybrid-CMOS logic style
S Goel, A Kumar, MA Bayoumi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14 (12 …, 2006
5812006
Efficient epileptic seizure prediction based on deep learning
H Daoud, MA Bayoumi
IEEE transactions on biomedical circuits and systems 13 (5), 804-813, 2019
3652019
High-performance and low-power conditional discharge flip-flop
P Zhao, TK Darwish, MA Bayoumi
IEEE transactions on very large scale integration (VLSI) systems 12 (5), 477-484, 2004
3182004
A novel high-performance CMOS 1-bit full-adder cell
AM Shams, MA Bayoumi
IEEE Transactions on circuits and systems II: Analog and digital signal …, 2000
3022000
Low-power cache design using 7T SRAM cell
RE Aly, MA Bayoumi
IEEE Transactions on Circuits and Systems II: Express Briefs 54 (4), 318-322, 2007
2252007
The hierarchical hypercube: A new interconnection topology for massively parallel systems
QM Malluhi, MA Bayoumi
IEEE Transactions on Parallel and Distributed Systems 5 (1), 17-30, 1994
1831994
A VLSI implementation of residue adders
M Bayoumi, G Jullien, W Miller
IEEE Transactions on Circuits and Systems 34 (3), 284-288, 1987
1801987
A new cell for low power adders
E Abu-Shama, M Bayoumi
1996 IEEE International Symposium on Circuits and Systems. Circuits and …, 1996
1711996
Design methodologies for high-performance noise-tolerant XOR-XNOR circuits
S Goel, MA Elgamel, MA Bayoumi, Y Hanafy
IEEE transactions on circuits and systems I: regular papers 53 (4), 867-878, 2006
1482006
Low-power clock branch sharing double-edge triggered flip-flop
P Zhao, J McNeely, P Golconda, MA Bayoumi, RA Barcenas, W Kuang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 15 (3), 338-345, 2007
1232007
NEDA: A low-power high-performance DCT architecture
AM Shams, A Chidanandan, W Pan, MA Bayoumi
IEEE transactions on signal processing 54 (3), 955-964, 2006
1232006
A low power 10-transistor full adder cell for embedded architectures
AA Fayed, MA Bayoumi
ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems …, 2001
1212001
Discrete wavelet transform: architectures, design and performance issues
M Weeks, M Bayoumi
Journal of VLSI signal processing systems for signal, image and video …, 2003
1092003
Fast motion estimation system using dynamic models for H. 264/AVC video coding
Y Ismail, JB McNeely, M Shaaban, H Mahmoud, MA Bayoumi
IEEE Transactions on Circuits and Systems for Video Technology 22 (1), 28-42, 2011
1062011
The forests of Sudan.
KH Badi, AEH Ahmed, A Bayoumi
The forests of Sudan., 1989
1051989
A deep learning approach for automatic seizure detection in children with epilepsy
A Abdelhameed, M Bayoumi
Frontiers in Computational Neuroscience 15, 650050, 2021
1042021
Lightweight cryptography for internet of insecure things: A survey
IK Dutta, B Ghosh, M Bayoumi
2019 IEEE 9th Annual Computing and Communication Workshop and Conference …, 2019
1042019
Learning on silicon: Adaptive VLSI neural systems
G Cauwenberghs, M Bayoumi
Springer Science & Business Media, 1999
961999
A review on internet of things (IoT): security and privacy requirements and the solution approaches
MA Iqbal
Global Journal of Computer Science and Technology 16 (E7), 1-9, 2016
922016
The system can't perform the operation now. Try again later.
Articles 1–20