Seguir
Timothy Pinkston
Timothy Pinkston
Professor of Electrical Engineering, University of Southern California
Dirección de correo verificada de usc.edu - Página principal
Título
Citado por
Citado por
Año
An efficient, fully adaptive deadlock recovery scheme: DISHA
KV Anjan, TM Pinkston
Proceedings of the 22nd annual international symposium on Computer …, 1995
2471995
Nord: Node-router decoupling for effective power-gating of on-chip routers
L Chen, TM Pinkston
2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, 270-281, 2012
1952012
A lightweight fault-tolerant mechanism for network-on-chip
M Koibuchi, H Matsutani, H Amano, TM Pinkston
Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008), 13-22, 2008
1792008
A methodology for designing efficient on-chip interconnects on well-behaved communication patterns
WH Ho, TM Pinkston
The Ninth International Symposium on High-Performance Computer Architecture …, 2003
1472003
On deadlocks in interconnection networks
TM Pinkston, S Warnakulasuriya
Proceedings of the 24th annual international symposium on Computer …, 1997
1321997
A proactive wearout recovery approach for exploiting microarchitectural redundancy to extend cache SRAM lifetime
J Shin, V Zyuban, P Bose, TM Pinkston
ACM SIGARCH Computer Architecture News 36 (3), 353-362, 2008
1302008
Power punch: Towards non-blocking power-gating of noc routers
L Chen, D Zhu, M Pedram, TM Pinkston
2015 IEEE 21st International Symposium on High Performance Computer …, 2015
1252015
A general theory for deadlock-free adaptive routing using a mixed set of resources
J Duato, TM Pinkston
IEEE Transactions on Parallel and Distributed Systems 12 (12), 1219-1235, 2001
1222001
Characterizing the Cell EIB on-chip network
TW Ainsworth, TM Pinkston
IEEE Micro 27 (5), 6-14, 2007
1132007
DISHA: A deadlock recovery scheme for fully adaptive routing
KV Anjan, TM Pinkston
Proceedings of 9th International Parallel Processing Symposium, 537-543, 1995
1091995
A progressive approach to handling message-dependent deadlock in parallel computer systems
YH Song, TM Pinkston
IEEE Transactions on Parallel and Distributed Systems 14 (3), 259-275, 2003
1072003
Generalized theory for deadlock-free adaptive wormhole routing and its application to disha concurrent
KV Anjan, TM Pinkston, J Duato
Proceedings of International Conference on Parallel Processing, 815-821, 1996
1041996
Software-based deadlock recovery technique for true fully adaptive routing in wormhole networks
JM Martínez, P Lopez, J Duato, TM Pinkston
Proceedings of the 1997 International Conference on Parallel Processing (Cat …, 1997
931997
Deadlock-free dynamic reconfiguration schemes for increased network dependability
TM Pinkston, R Pang, J Duato
IEEE Transactions on Parallel and Distributed Systems 14 (8), 780-794, 2003
922003
An efficient and deadlock-free network reconfiguration protocol
O Lysne, JM Montanana, J Flich, J Duato, TM Pinkston, T Skeie
IEEE Transactions on Computers 57 (6), 762-779, 2008
702008
Flexible and efficient routing based on progressive deadlock recovery
TM Pinkston
IEEE Transactions on Computers 48 (7), 649-669, 1999
691999
MP3: Minimizing performance penalty for power-gating of Clos network-on-chip
L Chen, L Zhao, R Wang, TM Pinkston
2014 IEEE 20th international symposium on high performance computer …, 2014
672014
A theory for deadlock-free dynamic network reconfiguration. Part I
J Duato, O Lysne, R Pang, TM Pinkston
IEEE Transactions on Parallel and Distributed Systems 16 (5), 412-427, 2005
652005
Characterization of deadlocks in interconnection networks
S Warnakulasuriya, TM Pinkston
Proceedings 11th International Parallel Processing Symposium, 80-86, 1997
581997
Worm-bubble flow control
L Chen, TM Pinkston
2013 IEEE 19th International Symposium on High Performance Computer …, 2013
562013
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20