Segueix
Yehea Ismail
Yehea Ismail
Professor, the American University in Cairo, zewail city
Correu electrònic verificat a aucegypt.edu
Títol
Citada per
Citada per
Any
Effects of inductance on the propagation delay and repeater insertion in VLSI circuits
YI Ismail, EG Friedman
Proceedings of the 36th annual ACM/IEEE Design Automation Conference, 721-724, 1999
5451999
Figures of merit to characterize the importance of on-chip inductance
YI Ismail, EG Friedman, JL Neves
Proceedings of the 35th annual Design Automation Conference, 560-565, 1998
3781998
Equivalent Elmore delay for RLC trees
YI Ismail, EG Friedman, JL Neves
Proceedings of the 36th annual ACM/IEEE Design Automation Conference, 715-720, 1999
3381999
Statistical static timing analysis: How simple can we get?
CS Amin, N Menezes, K Killpack, F Dartu, U Choudhury, N Hakim, ...
Proceedings of the 42nd annual Design Automation Conference, 652-657, 2005
1402005
Modeling skin and proximity effects with reduced realizable RL circuits
S Mei, YI Ismail
IEEE Transactions on very large scale integration (VLSI) systems 12 (4), 437-447, 2004
1262004
On-chip inductance in high speed integrated circuits
YI Ismail, EG Friedman
Springer Science & Business Media, 2001
1192001
Adipose stem cells display higher regenerative capacities and more adaptable electro-kinetic properties compared to bone marrow-derived mesenchymal stromal cells
A El-Badawy, M Amer, R Abdelbaset, SN Sherif, M Abo-Elela, YH Ghallab, ...
Scientific reports 6 (1), 37801, 2016
1022016
Accurate estimation of SRAM dynamic stability
DE Khalil, M Khellah, NS Kim, Y Ismail, T Karnik, VK De
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16 (12 …, 2008
992008
Exploiting the on-chip inductance in high-speed clock distribution networks
YI Ismail, EG Friedman, JL Neves
IEEE transactions on very large scale integration (VLSI) systems 9 (6), 963-973, 2001
912001
Gasping the impact of on-chip inductance
Y Massoud, Y Ismail
IEEE Circuits and Devices Magazine 17 (4), 14-21, 2001
852001
Serial-link bus: A low-power on-chip bus architecture
M Ghoneima, Y Ismail, MM Khellah, J Tschanz, V De
IEEE Transactions on Circuits and Systems I: Regular Papers 56 (9), 2020-2032, 2008
812008
Formal derivation of optimal active shielding for low-power on-chip buses
M Ghoneima, YI Ismail, MM Khellah, JW Tschanz, V De
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2006
812006
Repeater insertion in tree structured inductive interconnect
YI Ismail, EG Friedman, JL Neves
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal …, 2001
812001
Power-supply-variation-aware timing analysis of synchronous systems
S Kirolos, Y Massoud, Y Ismail
2008 IEEE International Symposium on Circuits and Systems, 2418-2421, 2008
802008
Thermal management of on-chip caches through power density minimization
JC Ku, S Ozdemir, G Memik, Y Ismail
IEEE transactions on very large scale integration (VLSI) systems 15 (5), 592-604, 2007
702007
Weibull-based analytical waveform model
CS Amin, F Dartu, YI Ismail
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2005
702005
Unravelling the interplay of crystal structure and electronic band structure of tantalum oxide (Ta 2 O 5)
R Nashed, WMI Hassan, Y Ismail, NK Allam
Physical Chemistry Chemical Physics 15 (5), 1352-1357, 2013
692013
Semiconductor plasmonic gas sensor using on-chip infrared spectroscopy
MY Elsayed, Y Ismail, MA Swillam
Applied Physics A 123 (1), 113, 2017
622017
Engineering over-clocking: Reliability-performance trade-offs for high-performance register files
G Memik, MH Chowdhury, A Mallik, YI Ismail
2005 International Conference on Dependable Systems and Networks (DSN'05 …, 2005
622005
On-chip inductance cons and pros
YI Ismail
IEEE transactions on very large scale integration (VLSI) systems 10 (6), 685-694, 2002
622002
En aquests moments el sistema no pot dur a terme l'operació. Torneu-ho a provar més tard.
Articles 1–20