Seguir
Nicolas Bohm Agostini
Nicolas Bohm Agostini
Northeastern University
Dirección de correo verificada de northeastern.edu
Título
Citado por
Citado por
Año
Summarizing CPU and GPU design trends with product data
Y Sun, NB Agostini, S Dong, D Kaeli
arXiv preprint arXiv:1911.11313, 2019
1052019
Exploiting adaptive data compression to improve performance and energy-efficiency of compute workloads in multi-GPU systems
MK Tavana, Y Sun, NB Agostini, D Kaeli
2019 IEEE International Parallel and Distributed Processing Symposium (IPDPS …, 2019
232019
Opencgra: Democratizing coarse-grained reconfigurable arrays
C Tan, NB Agostini, J Zhang, M Minutoli, VG Castellana, C Xie, T Geng, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
222021
An MLIR-based compiler flow for system-level design and hardware acceleration
NB Agostini, S Curzel, V Amatya, C Tan, M Minutoli, VG Castellana, ...
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
202022
Automated generation of integrated digital and spiking neuromorphic machine learning accelerators
S Curzel, NB Agostini, S Song, I Dagli, A Limaye, C Tan, M Minutoli, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-7, 2021
182021
Bridging Python to silicon: The SODA toolchain
NB Agostini, S Curzel, JJ Zhang, A Limaye, C Tan, V Amatya, M Minutoli, ...
IEEE Micro 42 (5), 78-88, 2022
162022
Secda: Efficient hardware/software co-design of fpga-based dnn accelerators for edge inference
J Haris, P Gibson, J Cano, NB Agostini, D Kaeli
2021 IEEE 33rd International Symposium on Computer Architecture and High …, 2021
152021
Design space exploration of accelerators and end-to-end DNN evaluation with TFLITE-SOC
NB Agostini, S Dong, E Karimi, MT Lapuerta, J Cano, JL Abellán, D Kaeli
2020 IEEE 32nd International Symposium on Computer Architecture and High …, 2020
152020
DRIPS: Dynamic Rebalancing of Pipelined Streaming Applications on CGRAs
C Tan, NB Agostini, T Geng, C Xie, J Li, A Li, KJ Barker, A Tumeo
2022 IEEE International Symposium on High-Performance Computer Architecture …, 2022
82022
Towards automatic and agile AI/ML accelerator design with end-to-end synthesis
JJ Zhang, NB Agostini, S Song, C Tan, A Limaye, V Amatya, J Manzano, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
82021
Spartan: A sparsity-adaptive framework to accelerate deep neural network training on GPUs
S Dong, Y Sun, NB Agostini, E Karimi, D Lowell, J Zhou, J Cano, ...
IEEE Transactions on Parallel and Distributed Systems 32 (10), 2448-2463, 2021
72021
Vcsr: An efficient gpu memory-aware sparse format
E Karimi, NB Agostini, S Dong, D Kaeli
IEEE Transactions on Parallel and Distributed Systems 33 (12), 3977-3989, 2022
62022
SODA-OPT an MLIR based flow for co-design and high-level synthesis
NB Agostini, S Curzel, D Kaeli, A Tumeo
Proceedings of the 19th ACM International Conference on Computing Frontiers …, 2022
62022
Dynpac: Coarse-grained, dynamic, and partially reconfigurable array for streaming applications
C Tan, T Geng, C Xie, NB Agostini, J Li, A Li, K Barker, A Tumeo
2021 IEEE 39th International Conference on Computer Design (ICCD), 33-40, 2021
62021
Summarizing cpu and gpu design trends with product data. arXiv 2019
Y Sun, NB Agostini, S Dong, D Kaeli
arXiv preprint arXiv:1911.11313, 1911
51911
SECDA-TFLite: A toolkit for efficient development of FPGA-based DNN accelerators for edge inference
J Haris, P Gibson, J Cano, NB Agostini, D Kaeli
Journal of Parallel and Distributed Computing 173, 140-151, 2023
42023
End-to-End Synthesis of Dynamically Controlled Machine Learning Accelerators
S Curzel, NB Agostini, VG Castellana, M Minutoli, A Limaye, J Manzano, ...
IEEE Transactions on Computers 71 (12), 3074-3087, 2022
32022
The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization
NB Agostini, S Curzel, A Limaye, V Amatya, M Minutoli, VG Castellana, ...
Proceedings of the 59th ACM/IEEE Design Automation Conference, 1359-1362, 2022
22022
SO (DA)^ 2: End-to-end Generation of Specialized Reconfigurable Architectures (Invited Talk)
A Tumeo, NB Agostini, S Curzel, A Limaye, C Tan, V Amatya, M Minutoli, ...
13th Workshop on Parallel Programming and Run-Time Management Techniques for …, 2022
22022
Discovering programmer intention behind written source code
GS Camps, NB Agostini, D Kaeli
2019 18th IEEE International Conference On Machine Learning And Applications …, 2019
22019
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20