Seguir
George Kurian
George Kurian
Dirección de correo verificada de google.com
Título
Citado por
Citado por
Año
Google's neural machine translation system: Bridging the gap between human and machine translation
Y Wu, M Schuster, Z Chen, QV Le, M Norouzi, W Macherey, M Krikun, ...
arXiv preprint arXiv:1609.08144, 2016
83962016
DSENT-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling
C Sun, CHO Chen, G Kurian, L Wei, J Miller, A Agarwal, LS Peh, ...
2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, 201-210, 2012
7022012
Graphite: A distributed parallel simulator for multicores
JE Miller, H Kasture, G Kurian, C Gruenwald, N Beckmann, C Celio, ...
HPCA-16 2010 The Sixteenth International Symposium on High-Performance …, 2010
6432010
ATAC: A 1000-core cache-coherent processor with on-chip optical network
G Kurian, JE Miller, J Psota, J Eastep, J Liu, J Michel, LC Kimerling, ...
Proceedings of the 19th international conference on Parallel architectures …, 2010
3042010
Ten lessons from three generations shaped google’s tpuv4i: Industrial product
NP Jouppi, DH Yoon, M Ashcraft, M Gottscho, TB Jablin, G Kurian, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
2852021
A domain-specific supercomputer for training deep neural networks
NP Jouppi, DH Yoon, G Kurian, S Li, N Patil, J Laudon, C Young, ...
Communications of the ACM 63 (7), 67-78, 2020
2572020
Tpu v4: An optically reconfigurable supercomputer for machine learning with hardware support for embeddings
N Jouppi, G Kurian, S Li, P Ma, R Nagarajan, L Nai, N Patil, ...
Proceedings of the 50th Annual International Symposium on Computer …, 2023
1082023
Self-aware computing in the Angstrom processor
H Hoffmann, J Holt, G Kurian, E Lau, M Maggio, JE Miller, SM Neuman, ...
Proceedings of the 49th Annual Design Automation Conference, 259-264, 2012
1082012
Google’s neural machine translation system: Bridging the gap between human and machine translation. CoRR abs/1609.08144 (2016)
Y Wu, M Schuster, Z Chen, QV Le, M Norouzi, W Macherey, M Krikun, ...
arXiv preprint arXiv:1609.08144, 2016
1002016
The design process for Google's training chips: TPUv2 and TPUv3
T Norrie, N Patil, DH Yoon, G Kurian, S Li, J Laudon, C Young, N Jouppi, ...
IEEE Micro 41 (2), 56-63, 2021
872021
Google’s neural machine translation system: Bridging the gap between human and machine translation. CoRR abs/1609.08144
Y Wu, M Schuster, Z Chen, QV Le, M Norouzi, W Macherey, M Krikun, ...
732016
The locality-aware adaptive cache coherence protocol
G Kurian, O Khan, S Devadas
Proceedings of the 40th Annual International Symposium on Computer …, 2013
592013
ATAC: Improving performance and programmability with on-chip optical networks
J Psota, J Miller, G Kurian, H Hoffman, N Beckmann, J Eastep, A Agarwal
Proceedings of 2010 IEEE International Symposium on Circuits and Systems …, 2010
512010
Cross-layer energy and performance evaluation of a nanophotonic manycore processor system using real application workloads
G Kurian, C Sun, CHO Chen, JE Miller, J Michel, L Wei, DA Antoniadis, ...
2012 IEEE 26th International Parallel and Distributed Processing Symposium …, 2012
492012
Locality-Aware Data Replication in the Last-Level Cache
G Kurian, S Devadas, O Khan
High Performance Computer Architecture, 2014
422014
Google's Training Chips Revealed: TPUv2 and TPUv3.
T Norrie, N Patil, DH Yoon, G Kurian, S Li, J Laudon, C Young, NP Jouppi, ...
Hot Chips Symposium, 1-70, 2020
382020
ATAC: A manycore processor with on-chip optical network
J Miller, J Psota, G Kurian, N Beckmann, J Eastep, J Liu, M Beals, ...
Massachusetts Institute of Technology, Cambridge, MA, USA, MIT-CSAIL-TR-2009–018, 2009
26*2009
C. III, N
J Miller, H Kasture, G Kurian
Beckmann, C. Celio, J. Eastep, and A. Agarwal,“Graphite: A distributed …, 2010
142010
Locality-aware cache hierarchy management for multicore processors
G Kurian
Massachusetts Institute of Technology, 2015
92015
Power modeling and other new features in the graphite simulator
G Kurian, SM Neuman, G Bezerra, A Giovinazzo, S Devadas, JE Miller
2014 IEEE International Symposium on Performance Analysis of Systems and …, 2014
92014
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20