Follow
Lee-Sup Kim
Lee-Sup Kim
Professor of Electrical Engineering, KAIST
Verified email at kaist.ac.kr - Homepage
Title
Cited by
Cited by
Year
An advanced contrast enhancement using partially overlapped sub-block histogram equalization
JY Kim, LS Kim, SH Hwang
IEEE transactions on circuits and systems for video technology 11 (4), 475-484, 2001
11332001
64-bit carry-select adder with reduced area
Y Kim, LS Kim
Electronics Letters 37 (10), 1, 2001
3292001
A 200 MHz 13 mm/sup 2/2-D DCT macrocell using sense-amplifying pipeline flip-flop scheme
M Matsui, H Hara, Y Uetani, LS Kim, T Nagamatsu, Y Watanabe, A Chiba, ...
IEEE Journal of Solid-State Circuits 29 (12), 1482-1490, 1994
2141994
14.6 a 1.42 tops/w deep convolutional neural network recognition processor for intelligent ioe systems
J Sim, JS Park, M Kim, D Bae, Y Choi, LS Kim
2016 IEEE International Solid-State Circuits Conference (ISSCC), 264-265, 2016
1992016
Winscale: An image-scaling algorithm using an area pixel model
CH Kim, SM Seong, JA Lee, LS Kim
IEEE Transactions on circuits and systems for video technology 13 (6), 549-553, 2003
1922003
A low-power SRAM using hierarchical bit line and local sense amplifiers
BD Yang, LS Kim
IEEE journal of solid-state circuits 40 (6), 1366-1376, 2005
1572005
Metastability of CMOS latch/flip-flop
LS Kim, RW Dutton
IEEE Journal of solid-state circuits 25 (4), 942-951, 1990
1461990
An 800-MHz low-power direct digital frequency synthesizer with an on-chip D/A converter
BD Yang, JH Choi, SH Han, LS Kim, HK Yu
IEEE Journal of solid-state circuits 39 (5), 761-774, 2004
1342004
A low power carry select adder with reduced area
Y Kim, LS Kim
ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems …, 2001
982001
NUAT: A non-uniform access time memory controller
W Shin, J Yang, J Choi, LS Kim
2014 IEEE 20th International Symposium on High Performance Computer …, 2014
862014
A 5-Gb/s/pin transceiver for DDR memory interface with a crosstalk suppression scheme
KI Oh, LS Kim, KI Park, YH Jun, JS Choi, K Kim
IEEE journal of solid-state circuits 44 (8), 2222-2232, 2009
752009
200 MHz video compression macrocells using low-swing differential logic
M Matsui, H Hara, K Seta, Y Uetani, LS Kim, T Nagamatsu, T Shimazawa, ...
Proceedings of IEEE International Solid-State Circuits Conference-ISSCC'94 …, 1994
741994
A low-power CAM using pulsed NAND-NOR match-line and charge-recycling search-line driver
BD Yang, LS Kim
IEEE Journal of Solid-State Circuits 40 (8), 1736-1744, 2005
732005
A 250-MHz-2-GHz wide-range delay-locked loop
BG Kim, LS Kim
IEEE Journal of Solid-State Circuits 40 (6), 1310-1321, 2005
702005
Multiple clone row DRAM: A low latency and area optimized DRAM
J Choi, W Shin, J Jang, J Suh, Y Kwon, Y Moon, LS Kim
ACM SIGARCH Computer Architecture News 43 (3S), 223-234, 2015
652015
An SoC with 1.3 Gtexels/s 3-D graphics full pipeline for consumer applications
D Kim, K Chung, CH Yu, CH Kim, I Lee, J Bae, YJ Kim, JH Park, S Kim, ...
IEEE journal of solid-state circuits 41 (1), 71-84, 2005
642005
A low-power ROM using charge recycling and charge sharing techniques
BD Yang, LS Kim
IEEE Journal of Solid-State Circuits 38 (4), 641-653, 2003
512003
An energy-efficient deep convolutional neural network inference processor with enhanced output stationary dataflow in 65-nm CMOS
J Sim, S Lee, LS Kim
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (1), 87-100, 2019
452019
Charge-pump reducing current mismatch in DLLs and PLLs
KS Ha, LS Kim
2006 IEEE International Symposium on Circuits and Systems (ISCAS), 4 pp., 2006
432006
Energy efficient data encoding in DRAM channels exploiting data value similarity
H Seol, W Shin, J Jang, J Choi, J Suh, LS Kim
ACM SIGARCH Computer Architecture News 44 (3), 719-730, 2016
402016
The system can't perform the operation now. Try again later.
Articles 1–20