Follow
Mahdi Nazemi
Title
Cited by
Cited by
Year
DNR: A Tunable Robust Pruning Framework Through Dynamic Network Rewiring of DNNs
S Kundu, M Nazemi, PA Beerel, M Pedram
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
592021
FFT-Based Deep Learning Deployment in Embedded Systems
S Lin, N Liu, M Nazemi, H Li, C Ding, Y Wang, M Pedram
IEEE Design, Automation, and Test in Europe Conference & Exhibition (DATE), 2017
552017
Pre-defined Sparsity for Low-Complexity Convolutional Neural Networks
S Kundu, M Nazemi, M Pedram, KM Chugg, P Beerel
IEEE Transactions on Computers, 2020
502020
Energy-Efficient, Low-Latency Realization of Neural Networks Through Boolean Logic Minimization
M Nazemi, G Pasandi, M Pedram
IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2019
422019
NullaNet: Training Deep Neural Networks for Reduced-Memory-Access Inference
M Nazemi, G Pasandi, M Pedram
arXiv preprint arXiv:1807.08716, 2018
202018
ThermTap: An online power analyzer and thermal simulator for Android devices
MJ Dousti, M Ghasemi-Gol, M Nazemi, M Pedram
IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED …, 2015
192015
SynergicLearning: Neural Network-Based Feature Extraction for Highly-Accurate Hyperdimensional Learning
M Nazemi, A Esmaili, A Fayyazi, M Pedram
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2020
172020
Modeling processor idle times in MPSoC platforms to enable integrated DPM, DVFS, and task scheduling subject to a hard deadline
A Esmaili, M Nazemi, M Pedram
IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2019
122019
A Hardware-Friendly Algorithm for Scalable Training and Deployment of Dimensionality Reduction Models on FPGA
M Nazemi, AE Eshratifar, M Pedram
IEEE International Symposium on Quality Electronic Design (ISQED), 2018
122018
NullaNet Tiny: Ultra-low-latency DNN inference through fixed-function combinational logic
M Nazemi, A Fayyazi, A Esmaili, A Khare, SN Shahsavani, M Pedram
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom …, 2021
102021
Energy-aware scheduling of task graphs with imprecise computations and end-to-end deadlines
A Esmaili, M Nazemi, M Pedram
ACM Transactions on Design Automation of Electronic Systems (TODAES) 25 (1 …, 2019
92019
Deploying Customized Data Representation and Approximate Computing in Machine Learning Applications
M Nazemi, M Pedram
IEEE/ACM International Symposium on Low Power Electronic Design (ISLPED), 2018
92018
High-Performance FPGA Implementation of Equivariant Adaptive Separation via Independence Algorithm for Independent Component Analysis
M Nazemi, S Nazarian, M Pedram
IEEE International Conference on Application-specific Systems, Architectures …, 2017
82017
Therminator 2: A Fast Thermal Simulator for Portable Devices
MJ Dousti, Q Xie, M Nazemi, M Pedram
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021
72021
ESPRESSO-GPU: blazingly fast two-level logic minimization
H Kanakia, M Nazemi, A Fayyazi, M Pedram
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2021
62021
Sensitivity-aware mixed-precision quantization and width optimization of deep neural networks through cluster-based tree-structured parzen estimation
S Azizi, M Nazemi, A Fayyazi, M Pedram
arXiv preprint arXiv:2308.06422, 2023
22023
Efficient Compilation and Mapping of Fixed Function Combinational Logic onto Digital Signal Processors Targeting Neural Network Inference and Utilizing High-level Synthesis
SN Shahsavani, A Fayyazi, M Nazemi, M Pedram
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2022
22022
A Fast Training-Free Compression Framework for Vision Transformers
JH Heo, A Fayyazi, M Nazemi, M Pedram
arXiv preprint arXiv:2303.02331, 2023
12023
Memory-Efficient Vision Transformers: An Activation-Aware Mixed-Rank Compression Strategy
S Azizi, M Nazemi, M Pedram
arXiv preprint arXiv:2402.06004, 2024
2024
Low-Precision Mixed-Computation Models for Inference on Edge
S Azizi, M Nazemi, M Kamal, M Pedram
arXiv preprint arXiv:2312.02210, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20